Contadores ascendentes

Share Embed Donate


Short Description

Download Contadores ascendentes...

Description

UNIVERSIDAD JUÁREZ AUTÓNOMA DE TABASCO UNIDAD CHONTALPA DIVISIÓN ACADÉMICA DE INGENIERÍA Y ARQUITECTURA CONTADORES ACENDENTES. REPORTE DE EXPOSICION DE LA MATERIA DE CIRCUITOS DIGITALES II Y LABORATORIO DE LA CARRERA DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA SEPTIEMBRE 2001 Índice Antecedentes teóricos 3 Desarrollo Materiales 13 Circuito propuesto 13 Desarrollo de la práctica 14 Resultados 14 Conclusiones 15 Antecedentes teóricos Introducción Conceptos Teóricos. 1.1. Contadores. 1.2. Circuitos síncronos y asíncronos. 1.3. Contadores síncronos (PARALELOS). 1.4. Operación del circuito. 1.5. Ventajas de los contadores síncronos sobre los asíncronos.

1

1.6. Contadores asíncronos en CI. 1.7. Símbolo IEEF)ANSI para el contador 74293. 1.8. El Contador 74193 (LS193/HC193) 1.9. Mas acerca de la Notación de Dependencia de IEEE/ANSI* 1.1. Contadores Los contadores son circuito electrónicos digitales importantes. Son circuitos lógicos secuenciales porque la temporización es obviamente importante y porque necesitan una característica de memoria. Los contadores digitales tienen las siguientes características importantes: • Un número máximo de cuentas (Modulo del contador). • Cuenta ascendente o Descendente. • Operación síncrona o asíncrona. • Autónomos o de autodetención Como en otros circuitos secuenciales, los flip−flop se utilizan para construir contadores. Los contadores son muy útiles en los sistemas digitales; se pueden utilizar para contar eventos como, por ejemplo, número de pulso de reloj en un tiempo dado (medida de secuencia). Se pueden utilizar como divisores de frecuencia y para almacenar datos como, en un reloj digital; también se usan para direccionamiento secuencial y en algunos circuitos aritméticos. Los circuitos secuenciales generalmente se dividen en dos categorías amplias: la de los síncronos y la de los asíncronos. 1.2. Circuitos síncronos y asíncronos Un circuito lógico secuencial puede estar compuesto por compuertas y/o flip−flops interconectados en configuraciones quizás complejas que generalmente incluyen algún tipo de realimentación. El circuito se considera asincrónico si no emplea una señal de reloj periódica C para sincronizar cambios de estado interno. Por consiguiente, los cambios de estado ocurren como respuesta directa a los cambios de señal en las líneas de entradas primarias (Datos) y distintos elementos de memoria pueden cambiar de estado en instantes diferentes. De acuerdo con esta definición se puede considerar al contador conocido como Johnson como un circuito asíncrono véase figura 1.La señal x aplicada a todas las entradas de reloj de todos sus flip−flop no se supone periódica y es una señal de datos más que una señal de control. 1.3. Contadores síncronos (PARALELOS) El problema que se encuentra en los contadores de rizo es ocasionado por los retrasos acumulados en la propagación de los FF; dicho de otra manera, no todos lo FF cambian de estado simultáneamente en sincronía con los pulsos de entrada. Estas limitaciones pueden superarse con el uso de contadores síncronos o paralelos, en los que todos los FF se disparan en forma simultanea (en paralelo) por medio de los pulsos de reloj. Ya que los pulsos de entrada se aplican a todos los FF, debe utilizarse algún medio para controlar cuando un FF se dispare o permanezca inalterado por un pulso de reloj. Estos se logran utilizando las entradas J y K y se ilustra en la figura 1 para un contador MOD−16 de 4 bits.

2

figura 1 Si comparamos la comparación del circuito para este contador síncrono con la de su contraparte asíncrono de la figura 2 veremos las diferencias.

figura 2 • La entrada CLK de todos los FF están conectadas entre si de modo que la señal de entrada de reloj se aplica simultanemante a todos los FF. • Solo el FF A, que es el LSB, tiene entradas J y K que están permanentes en el nivel alto. Las entradas 3

J y K de los demás FF son excitadas por alguna combinación en las salidas de los propios FF. • El contador síncrono requiere de mas circuiteria que un contador asíncrono. 1.4. Operación del circuito. El principio básico de operación del contador síncrono es el siguiente: Las entradas J y K de los FF están conectadas de forma tal que solo aquellos FF que se suponen cambiaran de estado en una determinada TPN tendrá J = K =1 cuando se presente dicha TPN. A continuación examinaremos cada principio de los FF con la ayuda de la secuencia de conteo que aparece en la figura 3.

figura 3 Esta secuencia de conteo muestra que el FF A tiene que cambiar de estado con cada TPN. Por esta razón sus entradas J y K permanecen siempre en alto para que el FF cambie de estado cada vez que se presenta una TPN en la señal de reloj. La secuencia de conteo señala que el FF B tiene que cambiar de estado con cada TPN mientras A = 1. Por ejemplo, cuando el conteo es 0001, la siguiente TPN tiene que cambiar a B hacia el estado 1; cuando el conteo es 0011, la siguiente TPN tiene que cambiar a B hacia el estado 0; y así sucesivamente. Esta operación se logra conectando la salida A a las entradas J y K del FF B, para que J = K = 1 solo cuando A = 1. La secuencia de conteo indica que el FF C tiene que cambiar de estado con cada TPN que ocurre cuando A = B = 1. Por ejemplo cuando el conteo es 0011, la siguiente TPN tiene que cambiar el estado de C al estado de 1; cuando el conteo es 0111, la siguiente TPN tiene que cambiar el estado de C a 0; y así sucesivamente. Esta operación esta garantizada si se conecta la señal AB a las entradas J y K de FF. De manera similar, se observa que el FF D, tiene que cambiar de estado con cada TPN que ocurre mientras A=B=C=1. Cuando el conteo es 0111, la siguiente TPN tiene que cambiar a D hacia el estado 1; cuando el conteo es 1111, la siguiente TPN cambiara a D hacia el estado 0. Esto se logra conectando la salida ABC a las entradas de FF D. 1.5. Ventajas de los contadores síncronos sobre los asíncronos. 4

En un contador paralelo, todos los FF cambian de estado al mismo tiempo; es decir, todos están sincronizados por las TPN de los pulsos de la señal del reloj. De este modo, a diferencia de los contadores asíncronos, los retrasos de propagación de los FF no se suman para producir un retraso global. En lugar de ello, el tiempo total de respuesta de un contador síncrono, con la figura 1, es igual al tiempo que le toma a uno de los FF cambiar de estado mas el tiempo necesario para que los nuevos niveles lógicos se propaguen a través de una sola compuerta AND y enlace las entradas J y K. Esto es: Retraso total =t pd del FF+ t pd de la compuerta AND Ese retraso total es el mismo sin importar cuantos FF estén en el contador y generalmente será mucho menor que el de un contador asíncrono con el mismo número de FF. Por supuesto que el contador síncrono tiene una circuiteria más compleja que el contador asíncrono. 1.6. Contadores asíncronos en CI. Existen varios contadores asíncronos en CI, tanto TTL como CMOS. Uno de ellos es el TTL 74293 (74LS293, etc.). La figura 4 muestra el diagrama 16gico para el 74293 como aparece− ría en un libro de datos TTL del fabricante. Parte de la nomenclatura es diferente de la que se ha empleado hasta este momento, pero debe ser fácil averiguar lo que significa. Note los siguientes puntos: • El 74293 tiene cuatro flip−flops J−K Con salidas Q0' Ql' Q2 y Q3, donde Qo Corresponde al LSB y Q4 al MSB. La distribución de los FF es tal que el LSB se encuentra en el extremo izquierdo para satisfacer la convenci6n de que las señales de entrada al circuito aparezcan a la izquierda. Hemos dibujado loS contadores Con LSB a la derecha, para que la disposición de los FF sea la misma que el orden de los bits en la cuenta binaria. • Cada FF tiene una entrada CP (Pulso de reloj), que es sólo otro nombre para la entrada CLK. Se puede tener acceso externo a las entradas de reloj para Q0 y Q1 marcadas Como CPo y CP1, respectivamente. Las barras de inversión sobre estas entradas indican que se activan por una TPN. • Cada FF tiene una entrada asíncrona BORRAR, CD. Éstas se encuentran conectadas entre sí a la salida de una Compuerta NAND de dos entradas MR1 y MR2, donde MR denota reiniciaci6n maestra. Ambas entradas MR deben estar ALTAS para borrar el contador y ponerlo en 0000. • Los flip−flops Ql, Q2, y Q3 ya están conectados Como un contador de rizo de tres bits. El flip−flop Qo no está conectado internamente a nada. Esto permite que el usuario opte por Conectar Q0 a Ql para formar un contador de cuatro bits, o usar Q0 en forma separada, si así lo desea.

figura 4

5

1.7. Símbolo IEEE−ANSI para el contador 74293 La figura 5 muestra el símbolo IEEE/ ANSI para el 74293. Este símbolo contiene varios aspectos nuevos del estándar IEEE/ ANSI. A medida que los describimos, el lector comprenderá la forma en que está diseñada la nueva simbología IEEE/ ANSI para explicarnos la operación de un CI. El símbolo contiene tres bloques distintos. El bloque de la parte superior (con las ranuras) es el bloque común de control. La notación "CTR" define este circuito integrado como un contador. Recuerde que en el capítulo 5 mencionamos que el bloque de control común se emplea cada vez que un CI tiene una o más entradas comunes para más de uno de los circuitos contenidos sobre el CI. Para e174293, las entradas MR1 y MR2 son comunes a todos los FF del contador . Estas entradas MR1 y MR2 se muestran como entradas activas en ALTO combinadas internamente utilizando la operación AND, la que está indicada por la notación "&". Esto indica que MR1 y MR2 deben encontrarse al mismo tiempo en estado activo para restablecer el contador. La notación "CT −O" indica que la acción de las entradas MR es hacer que la cuenta de salida sea igual a cero. El bloque de en medio está marcado con la etiqueta "DIV2" para señalar que éste es un contador MOD−2, el cual, claro está, es un solo FF. DIV2 significa que el contador dividirá la

figura 5

6

1.8. EL CONTADOR 74193 (LS193/HC193)(Ascendente / descendente) La figura 6 muestra el símbolo l6gico y la descripci6n de entrada y salida del contador 74193. Este contador puede describirse como un contador ascendente / descendente prefijable MOD−16 con conteo síncrono, prefijable asíncrono y reiniciaci6n maestra asíncrona. observemos la función de cada entrada y salida,

figura 6 Entradas de reloj CPU y CPD. El contador responderá a las TPP en una de las dos entra− das de reloj. CP u es la entrada de reloj de conteo ascendente. Cuando se apliquen los pulsos a esta entrada, el contador se incrementará (contará hacia arriba) en cada TPP hasta llegar a un conteo máximo de 1111 j entonces se recicla a 0000 y vuelve a comenzar. CPD es la entrada de reloj de conteo descendente. Cuando se apliquen los pulsos a esta entrada, el contador decrementará (contará hacia abajo) en cada TPP hasta llegar a un conteo mínimo de 0000; entonces se recicla a 1111 y vuelve a comenzar. De este modo, se usará una entrada de reloj para contar en tanto que la otra esté inactiva (se conserve en AL TO). Reiniciación maestra (MR). Esta es una entrada asíncrona activa en AL TO que reinicia al contador en el estado 0000. MR es un reiniciador de cd (corriente directa), de manera que mantendrá al contador en 0000 en tanto que MR =1. También elimina todas las otras entradas. Entradas prefijables. Los FF del contador pueden prestablecerse en los niveles 1ogicos presentes en las entradas paralelas de datos P3 hasta P0 pulsando momentáneamente la entrada de carga paralela PL de AL TO a BAJO. Este es un prestablecimiento asíncrono que elimina la operaci6n de conteo. No obstante, PL no tendrá efecto si la entrada MR se encuentra en su estado activo en ALTO. Salidas del conteo. El conteo regular siempre está presente en las salidas Q3 hasta Qo de los FF, donde Q0 es el LSB y Q3 el MSB. Salidas finales del conteo. Estas salidas se utilizan cuando dos o más unidades del 74193 se conectan como contador con etapas múltiples para producir un número MOD mayor. En el modo de conteo ascendente, la salida TCu del contador de orden inferior se conecta a la entrada CPu del siguiente contador de orden superior. En el modo de conteo descendente. la salida TCD del contador de orden inferior se conecta a la entrada CPD del siguiente contador de orden superior. TCu es el conteo ascendente final (también llamado acarreo). Se genera el chip 74193 utilizando la 1ogica que se muestra en la figura 7 (a). Evidentemente, TCu será BAJO solo cuando el contador se encuentre en el estado 1111 y Cpu sea BAJO. Así, TCu permanecerá en AL TO cuando el contador cuente hacia arriba de 0000 a 1110. En la siguiente TPP de CP u' el conteo pasa a 1111, pero TCu no pasa a BAJO sino hasta que CPu retorna BAJO. La siguiente TPP en CPu recicla el contador a 0000 y también ocasiona que TCu retorne a ALTO. Esta TPP en TCu ocurre cuando el contador se recicla de 1111 a 0000, y se puede alimentar para cronometrar un segundo contador ascendente 74193 a su siguiente conteo superior. 7

TCD es la salida del conteo descendente final (también llamado préstamo). Se genera como se muestra en la figura 7 (b). Normalmente es ALTO y no pasa a BAJO sino hasta que el contador haya contado hacia abajo hasta el estado 0000 y CPD sea BAJO. Cuando la siguiente TPP en CPD recicla el contador a 1111, ocasiona que TCD regrese a AL TO .Esta TPP en TC D se puede usar para cronometrar un segundo contador descendente 74193 en su siguiente conteo inferior.

figura 7 1.9. Mas acerca de la notación de dependencia de IEEE/ANSI* Se puede aprender más sobre la notaci6n de dependencia, que es una parte importante de la nueva simbología IEEE/ ANSI, examinando el símbolo IEEE/ ANSI para el CI 74193 que se muestra en la figura 8. Cada tipo de CI que examinamos de esta forma, permitirá al lector comprender mejor la nueva simbología y le ayudará a prepararse para que en el futuro haga uso de ella. Una vez más, debemos mencionar que el estándar IEEE/ ANSI solo especifica las etiquetas que se encuentran dentro de los rectángulos. Los nombres o etiquetas que se encuentran fuera de los contornos no son estándar, y de hecho, varían de un fabricante de CI a otro. Algunas de las notaciones empleadas en la figura 8 deben ser familiares. El símbolo delineado está dividido en un bloque común de control que afecta a todos los FF y cuatro rectángulos pequeños que representan cada FF. El número entre corchetes que se encuentra dentro de cada rectángulo, denota su peso relativo en el contador. La etiqueta CTR DIV16 indica que este dispositivo, cuando opera normalmente, es un contador (CTR) con 16 estados (es decir, un contador que divide entre 16). L e entrada MR al bloque de control común tiene la notación CT = 0 para señalar que el contador será reiniciado cuando MR sea ALTO.

figura 8 Desarrollo Materiales • Software workbench ó • Circuitmaket 8

• 1 C.I. 74293 (contador). • Fuente de voltaje de 5v CD. • 4 leds. Circuito propuesto Para esta practica se utilizara 1 circuitos contador asíncrono en donde se utilizaran un tipo de integrados en el que en la salida del circuito se comprobara la tabla de verdad de este que se menciona anteriormente

Desarrollo de la práctica Para esta practica se realizara primero una simulación del circuito anterior en el software workbench para así comprobar el comportamiento del contador ascendente asíncrono, también se armara este circuito en una tarjeta de experimentación donde se experimentara para así obtener los resultados de la tabla de verdad. Resultados A continuación mostraremos una tabla donde se muestran los resultados obtenidos del circuito propuesto.

9

Conclusiones A través de esta practica comprobamos que nuestros resultados obtenidos en el circuito propuesto contador ascendente asíncrono son los mismos que en las tablas de verdad. También es importante tener un diagrama de cada circuito para poder saber la forma que en el que se conectaran estas compuertas. Así como que la resistencia que se utilice no sea demasiada grande sino el led no prendera.

10

View more...

Comments

Copyright � 2017 NANOPDF Inc.
SUPPORT NANOPDF